Zynq-7000 AP SoC and 7 Series FPGAs MIS v4.1
202
UG586 November 30, 2016
Chapter 1:
DDR3 and DDR2 SDRAM Memory Interface Solution
•
sys_rst
– This is the main system reset (asynchronous). The reset signal must be applied
for a minimum pulse width of 5 ns.
•
init_calib_complete
– This signal indicates when the internal calibration is done and
that the interface is ready for use.
•
tg_compare_error
– This signal is generated by the example design traffic generator if
read data does not match the write data.
These signals are all set to LVCMOS25 and can be altered as needed for the system design.
They can be generated and used internally instead of being brought out to pins.
A 16-bit wide interfaces might need to have the system clock in a bank above or below the
bank with the address/control and data. In this case, the MIG tool puts an additional
constraint in the XDC. An example is shown here:
set_property CLOCK_DEDICATED_ROUTE BACKBONE [get_nets sys_clk_p]
set_property CLOCK_DEDICATED_ROUTE BACKBONE [get_pins -hierarchical *pll*CLKIN1]
This results in a warning listed below during PAR. This warning can be ignored.
WARNING:Place:1402 - A clock IOB / PLL clock component pair have been found that are
not placed at an optimal clock IOB / PLL site pair. The clock IOB component
<sys_clk_p> is placed at site <IOB_X1Y76>. The corresponding PLL component
<u_backb16/u_ddr3_infrastructure/plle2_i> is placed at site <PLLE2_ADV_X1Y2>. The
clock I/O can use the fast path between the IOB and the PLL if the IOB is placed on
a Clock Capable IOB site that has dedicated fast path to PLL sites within the same
clock region. You might want to analyze why this issue exists and correct it. This
is normally an ERROR but the CLOCK_DEDICATED_ROUTE constraint was applied on COMP.PIN
<sys_clk_p.PAD> allowing your design to continue. This constraint disables all clock
placer rules related to the specified COMP.PIN. The use of this override is highly
discouraged as it might lead to very poor timing results. It is recommended that this
error condition be corrected in the design.
Do not drive user clocks through the I/O clocking backbone from the region(s) containing
the MIG generated memory interface to CMT blocks in adjacent regions due to resource
limitations. For more information, see the
7 Series FPGAs Clocking Resources User Guide
(UG472)
The MIG tool sets the VCCAUX_IO constraint based on the data rate and voltage input
selected. The generated XDC has additional constraints as needed. For example:
# PadFunction: IO_L1P_T0_39
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[0]}]
set_property SLEW FAST [get_ports {ddr3_dq[0]}]
set_property IOSTANDARD SSTL15_T_DCI [get_ports {ddr3_dq[0]}]
set_property PACKAGE_PIN A9 [get_ports {ddr3_dq[0]}]
# PadFunction: IO_L1N_T0_39
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[1]}]
set_property SLEW FAST [get_ports {ddr3_dq[1]}]
set_property IOSTANDARD SSTL15_T_DCI [get_ports {ddr3_dq[1]}]
set_property PACKAGE_PIN A8 [get_ports {ddr3_dq[1]}]