![Tews Technologies TXMC638 User Manual Download Page 80](http://html1.mh-extra.com/html/tews-technologies/txmc638/txmc638_user-manual_1093619080.webp)
TXMC638 User Manual Issue 1.0.2
Page 80 of 86
set_property IOSTANDARD LVDS_25 [get_ports {ADC_SCK_N[4]}]
# External Termination
set_property PACKAGE_PIN V26 [get_ports {ADC_SCK_N[4]}]
set_property IOSTANDARD LVDS_25 [get_ports {ADC_SCKOUT_P[4]}]
set_property DIFF_TERM TRUE [get_ports {ADC_SCKOUT_P[4]}]
set_property PACKAGE_PIN F17 [get_ports {ADC_SCKOUT_P[4]}]
set_property IOSTANDARD LVDS_25 [get_ports {ADC_SCKOUT_N[4]}]
set_property DIFF_TERM TRUE [get_ports {ADC_SCKOUT_N[4]}]
set_property PACKAGE_PIN E17 [get_ports {ADC_SCKOUT_N[4]}]
set_property SLEW FAST [get_ports {ADC_SDO1_P[4]}]
set_property IOSTANDARD LVDS_25 [get_ports {ADC_SDO1_P[4]}]
set_property DIFF_TERM TRUE [get_ports {ADC_SDO1_P[4]}]
set_property PACKAGE_PIN C17 [get_ports {ADC_SDO1_P[4]}]
set_property SLEW FAST [get_ports {ADC_SDO1_N[4]}]
set_property IOSTANDARD LVDS_25 [get_ports {ADC_SDO1_N[4]}]
set_property DIFF_TERM TRUE [get_ports {ADC_SDO1_N[4]}]
set_property PACKAGE_PIN C18 [get_ports {ADC_SDO1_N[4]}]
set_property SLEW FAST [get_ports {ADC_SDO2_P[4]}]
set_property IOSTANDARD LVDS_25 [get_ports {ADC_SDO2_P[4]}]
set_property DIFF_TERM TRUE [get_ports {ADC_SDO2_P[4]}]
set_property PACKAGE_PIN C19 [get_ports {ADC_SDO2_P[4]}]
set_property SLEW FAST [get_ports {ADC_SDO2_N[4]}]
set_property IOSTANDARD LVDS_25 [get_ports {ADC_SDO2_N[4]}]
set_property DIFF_TERM TRUE [get_ports {ADC_SDO2_N[4]}]
set_property PACKAGE_PIN B19 [get_ports {ADC_SDO2_N[4]}]
# Timings
create_clock -name adc_bclk_4 -period 9.5238095238095238095238095238095 [get_ports {ADC_SCKOUT_P[4]}]
set_input_delay -clock adc_bclk_4 -min 0 [get_ports {ADC_SDO1_P[4]}]
set_input_delay -clock adc_bclk_4 -max 2 [get_ports {ADC_SDO2_P[4]}]
set_input_delay -clock adc_bclk_4 -min 0 [get_ports {ADC_SDO1_P[4]}]
set_input_delay -clock adc_bclk_4 -max 2 [get_ports {ADC_SDO2_P[4]}]
set_clock_groups -asynchronous -group {adc_bclk_4} -group {USER_CLKA};
set_max_delay 10 -datapath_only -from {adc_bclk_4} -to {USER_CLKA};
set_max_delay 10 -datapath_only -from {USER_CLKA} -to {adc_bclk_4};
# ADC #5
set_property SLEW FAST [get_ports {ADC_CNV_n[5]}]
set_property IOSTANDARD LVCMOS25 [get_ports {ADC_CNV_n[5]}]
set_property PACKAGE_PIN P20 [get_ports {ADC_CNV_n[5]}]
set_property IOSTANDARD LVDS_25 [get_ports {ADC_SCK_P[5]}]
# External Termination
set_property PACKAGE_PIN R25 [get_ports {ADC_SCK_P[5]}]
set_property IOSTANDARD LVDS_25 [get_ports {ADC_SCK_N[5]}]
# External Termination
set_property PACKAGE_PIN P25 [get_ports {ADC_SCK_N[5]}]
set_property IOSTANDARD LVDS_25 [get_ports {ADC_SCKOUT_P[5]}]
set_property DIFF_TERM TRUE [get_ports {ADC_SCKOUT_P[5]}]
set_property PACKAGE_PIN N21 [get_ports {ADC_SCKOUT_P[5]}]
set_property IOSTANDARD LVDS_25 [get_ports {ADC_SCKOUT_N[5]}]
set_property DIFF_TERM TRUE [get_ports {ADC_SCKOUT_N[5]}]
set_property PACKAGE_PIN N22 [get_ports {ADC_SCKOUT_N[5]}]
set_property SLEW FAST [get_ports {ADC_SDO1_P[5]}]
set_property IOSTANDARD LVDS_25 [get_ports {ADC_SDO1_P[5]}]
set_property DIFF_TERM TRUE [get_ports {ADC_SDO1_P[5]}]
set_property PACKAGE_PIN N26 [get_ports {ADC_SDO1_P[5]}]
set_property SLEW FAST [get_ports {ADC_SDO1_N[5]}]
set_property IOSTANDARD LVDS_25 [get_ports {ADC_SDO1_N[5]}]
set_property DIFF_TERM TRUE [get_ports {ADC_SDO1_N[5]}]
set_property PACKAGE_PIN M26 [get_ports {ADC_SDO1_N[5]}]
set_property SLEW FAST [get_ports {ADC_SDO2_P[5]}]
set_property IOSTANDARD LVDS_25 [get_ports {ADC_SDO2_P[5]}]