2–16
Chapter 2: Getting Started with the Arria V GZ Hard IP for PCI Express
Quartus II Compilation
Arria V GZ Hard IP for PCI Express
November 2012
Altera Corporation
User Guide
3. In the
Files of type
list,
pcie_de_gen1_x8_ast128.qip
and then click
Open
.
4.
5. On the
Add Files
page, click
Add
, then click
OK
.
6. Add the Synopsys Design Constraints (SDC) shown in
Example 2–2
, to the
top-level design file for your Quartus II project.
7. On the Processing menu, select
Start Compilation
.
Compiling the Design in the Qsys Design Flow
To compile the Qsys design example in the Quartus II software, you must create a
Quartus II project and add your Qsys files to that project.
Complete the following steps to create your Quartus II project:
1. From the Windows Start Menu, choose
Programs > Altera > Quartus II
12.1
to run
the Quartus II software.
2. Click the browse button next to the
File Name
box and browse to the synthesis
directory that includes your Qsys project,
<working_dir>/
gen1_x8_example_design/altera_pcie_sv_hip_ast/pcie_de_gen1_x
8_ast128/synthesis
3. On the Quartus II File menu, click
New,
then
New Quartus II Project
, then
OK
.
4. Click
Next
in the
New Project Wizard:
Introduction
(The introduction does not
appear if you previously turned it off.)
Example 2–2. Synopsys Design Constraint
create_clock -period “100 MHz” -name {refclk_pci_express} {*refclk_*}
derive_pll_clocks
derive_clock_uncertainty
######################################################################
# PHY IP reconfig controller constraints
# Set reconfig_xcvr clock
# Modify to match the actual clock pin name
# used for this clock, and also changed to have the correct period set
create_clock -period "125 MHz" -name {reconfig_xcvr_clk}
{*reconfig_xcvr_clk*}
######################################################################
# HIP Soft reset controller SDC constraints
set_false_path -to [get_registers
*altpcie_rs_serdes|fifo_err_sync_r[0]]
set_false_path -from [get_registers *sv_xcvr_pipe_native*] -to
[get_registers *altpcie_rs_serdes|*]
# Hard IP testin pins SDC constraints
set_false_path -from [get_pins -compatibilitly_mode *hip_ctrl*]