Virtex-6 FPGA System Monitor
55
UG370 (v1.1) June 14, 2010
Application Guidelines
Example Instantiation using VHDL
----------------------------------------------------------------------------------
--
-- Author: Xilinx
-- Date: July 11th 2007 $
-- Design: Virtex-6 FPGA System Monitor VHDL example instantiation
--
-- System Monitor instantiation by hand using the Language Template
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
library UNISIM;
use UNISIM.VComponents.all;
entity toplevel is
Port (clk : in STD_LOGIC;
Vccaux : out STD_LOGIC_VECTOR (9 downto 0);
busy : out STD_LOGIC;
alarm : out STD_LOGIC);
end toplevel;
architecture Behavioral of toplevel is
signal dobus : std_logic_vector(15 downto 0);
signal channel_int : std_logic_vector(6 downto 0);
signal channel: std_logic_vector(4 downto 0);
signal alm: std_logic_vector(2 downto 0);
signal eos: std_logic;
begin
-- bring out 10-bit (MSB justified) version of DO bus
Vccaux <= dobus(15 downto 6);
-- Connect ALM[2] (Vccaux alarm) to output
alarm <= alm(2);
-- Connect channel output to DRP DADDR inputs and set MSBs to 0
channel_int <= "00" & channel;
my_sysmon : SYSMON
generic
map(
INIT_40 => X"0000", -- Configuration register 0
INIT_41 => X"20C7", -- Configuration register 1
INIT_42 => X"0A00", -- Configuration register 2
INIT_43 => X"0000", -- Test register 0
INIT_44 => X"0000", -- Test register 1
INIT_45 => X"0000", -- Test register 2
INIT_46 => X"0000", -- Test register 3
INIT_47 => X"0000", -- Test register 4
INIT_48 => X"0401", -- Sequence register 0
INIT_49 => X"0000", -- Sequence register 1
www.BDTIC.com/XILINX