![Tews Technologies TPCE636 User Manual Download Page 88](http://html1.mh-extra.com/html/tews-technologies/tpce636/tpce636_user-manual_1093646088.webp)
TPCE636 User Manual Issue 1.0.2
Page 88 of 104
set_property PACKAGE_PIN M2 [get_ports MGTTX1_P]
set_property PACKAGE_PIN M1 [get_ports MGTTX1_N]
set_property PACKAGE_PIN N4 [get_ports MGTRX1_P]
set_property PACKAGE_PIN N3 [get_ports MGTRX1_N]
set_property PACKAGE_PIN K2 [get_ports MGTTX2_P]
set_property PACKAGE_PIN K1 [get_ports MGTTX2_N]
set_property PACKAGE_PIN L4 [get_ports MGTRX2_P]
set_property PACKAGE_PIN L3 [get_ports MGTRX2_N]
set_property PACKAGE_PIN H2 [get_ports MGTTX3_P]
set_property PACKAGE_PIN H1 [get_ports MGTTX3_N]
set_property PACKAGE_PIN J4 [get_ports MGTRX3_P]
set_property PACKAGE_PIN J3 [get_ports MGTRX3_N]
# Reference Clock for Firefly MGTs
set_property PACKAGE_PIN H6 [get_ports CLK_MGT_P]
set_property PACKAGE_PIN H5 [get_ports CLK_MGT_N]
## ############################################################################################# ##
## Section: BCC
## ############################################################################################# ##
#set_property PACKAGE_PIN L23 [get_ports FPGA_RST_n]
#set_property IOSTANDARD LVCMOS33 [get_ports FPGA_RST_n]
## ############################################################################################# ##
## Section: PCIe Switch
## ############################################################################################# ##
set_property IOSTANDARD LVCMOS33 [get_ports DWN_RST_n]
set_property PACKAGE_PIN K21 [get_ports DWN_RST_n]
set_property PULLUP true [get_ports DWN_RST_n]
set_false_path -from [get_ports DWN_RST_n]
## ############################################################################################# ##
## Section: DDR3
## ############################################################################################# ##
# DDR3 Data (DQ)
set_property SLEW FAST [get_ports {DQ[0]}]
set_property IOSTANDARD SSTL135_T_DCI [get_ports {DQ[0]}]
set_property PACKAGE_PIN AF17 [get_ports {DQ[0]}]
set_property SLEW FAST [get_ports {DQ[1]}]
set_property IOSTANDARD SSTL135_T_DCI [get_ports {DQ[1]}]
set_property PACKAGE_PIN AF14 [get_ports {DQ[1]}]
set_property SLEW FAST [get_ports {DQ[2]}]
set_property IOSTANDARD SSTL135_T_DCI [get_ports {DQ[2]}]
set_property PACKAGE_PIN AF15 [get_ports {DQ[2]}]
set_property SLEW FAST [get_ports {DQ[3]}]
set_property IOSTANDARD SSTL135_T_DCI [get_ports {DQ[3]}]
set_property PACKAGE_PIN AD15 [get_ports {DQ[3]}]
set_property SLEW FAST [get_ports {DQ[4]}]
set_property IOSTANDARD SSTL135_T_DCI [get_ports {DQ[4]}]
set_property PACKAGE_PIN AE15 [get_ports {DQ[4]}]
set_property SLEW FAST [get_ports {DQ[5]}]
set_property IOSTANDARD SSTL135_T_DCI [get_ports {DQ[5]}]
set_property PACKAGE_PIN AF19 [get_ports {DQ[5]}]
set_property SLEW FAST [get_ports {DQ[6]}]
set_property IOSTANDARD SSTL135_T_DCI [get_ports {DQ[6]}]
set_property PACKAGE_PIN AF20 [get_ports {DQ[6]}]
set_property SLEW FAST [get_ports {DQ[7]}]
set_property IOSTANDARD SSTL135_T_DCI [get_ports {DQ[7]}]