![Tews Technologies TMPE627 Скачать руководство пользователя страница 34](http://html1.mh-extra.com/html/tews-technologies/tmpe627/tmpe627_user-manual_1093615034.webp)
TMPE627 User Manual Issue 1.0.2
Page 34 of 34
set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets EMC_CLK]
# LEDs
set_property IOSTANDARD LVCMOS33 [get_ports LED_W*]
set_property SLEW SLOW [get_ports LED_W*]
set_property PACKAGE_PIN P1 [get_ports LED_WWAN]
set_property PACKAGE_PIN V3 [get_ports LED_WPAN]
set_property PACKAGE_PIN V2 [get_ports LED_WLAN]
# PCIe Mini Card SMBus
set_property PROHIBIT TRUE [get_sites M1]
set_property PROHIBIT TRUE [get_sites N1]
# PCIe Reference Clock
create_clock -period 10.000 [get_ports REFCLK_P]
# 100 MHz External Configuration Master Clock
create_clock -period 10.000 [get_ports EMC_CLK]
### General Config Settings
set_property CFGBVS VCCO [current_design]
set_property CONFIG_VOLTAGE 3.3 [current_design]
set_property BITSTREAM.GENERAL.COMPRESS FALSE [current_design]
### Boot from external Clock
set_property BITSTREAM.CONFIG.EXTMASTERCCLK_EN div-1 [current_design]
### SPI x4 Settings
set_property BITSTREAM.CONFIG.SPI_FALL_EDGE YES [current_design]
set_property CONFIG_MODE SPIx4 [current_design]
set_property BITSTREAM.CONFIG.SPI_BUSWIDTH 4 [current_design]