background image

C-701A

SCHEMATIC DIAGRAM

A

1

2

3

4

5

B

C

D

E

F

G

H

R 7 9 6
1 0 0 K * 9

R 7 9 7
1 0 0 K * 8

P 1 0 4

T E S T - P O I N T 1

P903

J 6

P 1 1 1

P 9 0 4

T O   C D   M E C H A

P913A

P913B

P911A

P911B

C922

334

C

921

334TF

C923

334TF

P 7 0 1 A

P 7 0 1 B

Q 1 0 2

B A 5 9 8 4 F P

BIAS

20

FWD

1

GND

19

MUTE

21

OPIN1+

2

OPIN1-

3

OPIN2+

5

OPIN2-

6

OPIN3+

24

OPIN3-

23

OPIN4+

27

OPIN4-

26

OPOUT1

4

OPOUT2

7

O

POUT3

2

2

OPOUT4

2

5

REV

2

8

VCC
8

VO1+
14

VO1-
13

VO2+
12

VO2-
11

VO3+

17

VO3-

18

VO4+

15

VO4-

16

VOL+
10

VOL-
9

Q 7 2 1

H N A - 0 9 S S 2 7 T

8G
5

F1
1

F1'
2

F2

35

F2'

34

G1

12

G2

11

G3

10

G4
9

G5
8

G6
7

G7
6

G9
4

NC1

13

NC2

14

NC3

15

P1

32

P10

23

P11

22

P12

21

P13

20

P14

19

P15

18

P16

17

P17

16

P2

31

P3

30

P4

29

P5

28

P6

27

P7

26

P8

25

P9

24

L 9 0 1

N C H - 3 4 5 4

T.F.

T 9 0 1

2

4

5

8

9

1 0

1 1

1 2

1 3

P703B

P703A

P 9 1 4 B

P 9 1 4 A

Q 5 0 2

N J M 4 5 6 5 M - D

5

6

7

Q 5 0 1

N J M 4 5 6 5 M - D

5

6

7

Q 5 0 2

N J M 4 5 6 5 M - D

3

8

2

4

1

Q 5 0 1

N J M 4 5 6 5 M - D

3

8

2

4

1

Q 4 0 1

T C 7 W T 2 4 1 F U

A 1

2

A 2

5

G

7

G N D

4

V C C

8

Y 1

6

Y 2

3

G

1

Q 7 0 1

M P D 7 8 0 4 3 F G F
- 0 8 7 - 3 B 9

-VDISP

71

AD0

28

AD1

27

A M U T

1 3

AVDD

29

AVREF

30

A V S S

2 0

C L K

9

C L O S E 4 3

C L O S E _ S W 5 4

D A T A

1 0

DSP_RESET

37

F L _ O F F 5 9

G1

79

G2

80

G 3

1

G 4

2

G 5

3

G 6

4

G 7

5

G 8

6

G 9

7

N C 1

2 1

N C 1 0

4 1

N C 1 1 4 4

N C 1 2 4 5

N C 1 3 4 9

N C 1 4 5 0

N C 1 5 5 1

N C 1 6 5 5

N C 1 7 5 6

N C 1 8 5 7

N C 1 9 5 8

N C 2

2 2

NC20

78

N C 3

2 3

N C 4

2 4

NC5

25

NC6

26

NC7

32

NC8

39

NC9

40

O P E N 4 2

O P E N _ S W5 3

P 1 6 0

P10

6

9

P11

7

0

P12

7

2

P13

7

3

P14

7

4

P15

7

5

P16

7

6

P17

7

7

P 2 6 1

P 3 6 2

P 4 6 3

P 5 6 4

P6

65

P7

66

P8

67

P9

68

P_ON/OFF

38

R E S E T

1 7

R I _ I N

1 8

R I _ O U T

1 9

R M C N 4 7
S C O R 4 6

S E N S

1 5

S E N S 2

1 2

S Q C K

1 4

S Q S O

1 6

STBY_LED

36

V D D 1

8

V D D 2 5 2

VSS1

31

VSS2

33

V S S 3 4 8

X1

34

X2

35

X L T

1 1

Q 1 0 1

C X A 2 5 4 2 A Q

A T S C

4 3

C . O U T 2 2

CB
28

CC1
27

CC2
26

C L K 1 8

CP
29

D A T A 2 0

E

3 9

F

3 8

FDFECT

2

FEI

1

F E O

4 8

FE_M

6

FE_O

5

FGD

3

FLB

4

FOK

25

FSET

1

0

F Z C

4 7

I S E T 1 6

LD
34

L P F I

4 2

PD
35

PD1
36

P D 2

3 7

RFTC
33

RF_I
30

RF_M
32

RF_O
31

S E N S 1 2 3

S E N S 2

2 4

S L _ M 1 4
S L _ O 1 5

S L _ P 1 3

SRCH

7

TA_M

11

TA_O

12

T D F C T

4 5

T E O

4 1

TG2

9

TGU

8

T Z C

4 4

V C

4 6

V C C 1 7

V E E

4 0

X L T 1 9

X R S T 2 1

P 5 0 1

P 4 5 1

F 9 0 1

T 2 A L 2 5 0 V

P 9 0 1

P 9 0 1

P903

Q 9 0 4

N J M 7 8 M 0 5 F A

G

I

O

Q 9 0 1

N J M 7 8 M 0 5 F A

G

I

O

D 7 5 1

S L R - 3 3 2 V R

S701

OPEN/CLOSE

S706

STANDBY/ON

S707

DISPLAY

S705

STOP

S704

PLAY/PAUSE

S703

UP/FF

S702

DOWN/FR

Q 7 1 1
G P 1 U 2 7 1 X

Q 7 0 5

2 S C 2 1 2 0 - Y

Q 7 0 6

2 S C 2 1 2 0 - Y

D 7 0 1

U D Z

5 . 1 B

D703

UDZ5.6B

D907

UDZ27B

Q 7 0 2

B M R - 0 1 0 1 D

G O

V

X 7 0 1

C S T S 5 . 0 0 M G W

Q 4 0 3

G P 1 F A
5 5 0 T Z

Q 4 0 2

G P 1 F A

5 5 0 T Z

Q 7 0 3

R N 2 4 0 3

Q 7 6 2

R N 2 4 0 3

Q 5 3 2

R N 1 4 4 1

Q 7 5 1

R N 1 4 0 7

Q 7 6 1

R N 1 4 0 7

Q 5 3 1

R N 1 4 4 1

Q 9 0 3

R N 1 4 0 3

R798

100K

R163

10K

R160

10K

R 7 9 2

1 K

R 7 9 1

1 K

R 7 9 0

1 K

R 7 8 9

1 K

R751

470

R724

10K

R 9 0 5

2 2 0

R 9 0 6

2 2 0

R 7 2 1

2 . 7 K

R 7 2 2
2 . 7 K

R 7 1 0

0

R 7 1 1
4 . 7 K

R 7 8 1

1 K

R515

0

R503

220K

R 1 6 9

4 . 7 K

R165

2.7K

R162

2.7K

R164

3.9K

R161

5.6K

R157

2.7K

R158

2.2K

R159

2.7K

R156

3.9K

R155

10K

R 5 0 2

1 0 0

R 5 3 3

4 7 0 K

R510

220K

R 5 3 2

1 K

R 5 1 2

1 K

R504

220K

R 5 0 8 2 . 2 K

R 5 0 6

2 . 7 K

R403

0

R 4 5 1

4 7 0

R723

0

R 5 0 1

1 0 0

R907

*

R730

10K

*

R 7 4 1

3 9 0

R 7 3 2

4 7 0

R 7 3 4

1 K

R 7 3 3

8 2 0

R 7 3 1

3 9 0

R727

10K

R726

10K

R 7 0 5

1 0 K

R 7 6 1

1 K

R509

220K

R 5 3 1

1 K

R 5 1 1

1 K

R 7 8 7

1 K

R 7 8 5

1 K

R 7 8 3

1 K

R 7 0 1

1 0 0

R713

220K

R712

47K

R 7 0 3
4 . 7 K

R 7 0 2

1 0 0

R 7 0 4

1 0 K

R 5 0 5

2 . 7 K

R 5 0 7 2 . 2 K

R903

10K

R 3 2 1

1 K

R 3 2 6

1 K

R 3 1 8

1 K

R 3 1 1

1 K

R 3 1 2

1 K

R 3 1 3

1 K

R 3 1 4

1 K

R 3 1 5

1 K

R 3 1 6

1 K

R 3 1 7

1 0 K

R908

5.6K

R 9 0 1

1 0 K

R902

1K

X301

16.9344MHZ

P902

P902

P702A

P702B

P105

Q 3 0 1

C X D 2 5 8 9 Q

AIN1
66

AIN2
75

AOUT1
65

AOUT2
76

ASYI

37

ASYO

38

AVDD1

34

AVDD2
64

AVDD3
77

AVSS1

32

AVSS2
63

AVSS3
68

AVSS4
73

AVSS5
78

B C K 4 3

B C K I 4 4

BIAS

36

C 2 P O 5 0

C 4 M 5 2

C L K O

1 4

C L O K

9

CLTV

33

C N I N

1 1

D A T A

7

D A T O

1 2

D O U T 5 3
E M P H 5 4

E M P H I 5 5

E X C K 5 9

FILI

31

FILO

30

F O K

1 8

G F S 4 9

L M U T

2

LOUT1

67

LOUT2
74

LRCK

39

LRCKI

40

MDP

21

P C M D

4 1

P C M D I 4 2

PCO

2

9

PWMI

22

RF

35

R M U T

3

S B S O 5 8

S C O R 5 7

S E I N

1 0

S E N S

6

S P O A

1 5

S P O B

1 6

S Q C K

4

S Q S O

5

SYSM
62

TES1

24

TEST

23

V16M

27

VCKI

26

VCTL

28

V D D 1

1 9

V D D 2 4 6

VDD3

61

VDD4

80

VPCO

2

5

V S S 1

1

V S S 2

2 0

V S S 3 4 5

V S S 4

6 0

W F C K5 6

X L A T

8

X L O N

1 7

X L T O

1 3

X P C K 4 8

XRST
79

XTAI
70

XTAO
71

X T S L 5 1

X U G F 4 7

XVDD
69

XVSS
72

JL912B

JL912A

R 1 2 3

1 0 K B

L392

100

K

L 1 0 1

1 0 0 K

L391

100

K

Q 1 1 1
2 S A 9 5 0 - Y

Q 9 0 5
2 S A 9 5 0 - Y

Q 9 0 2

2 S A 9 5 0 - Y

D 7 6 2

1 S S 3 5 2

D 1 0 1

1 S S 3 5 2

D 9 0 6

D 9 0 3

D 9 0 2

D 9 0 1

R L 1 N 4 0 0 3

D 9 0 1 - D 9 0 4 , D 9 0 6

D 9 0 4

D 7 0 2

1 S S 3 5 2

D761

1SS352

P101A

M 0 0 6

M 0 0 4

C907

100/16

C 3 5 1

2 2 0 / 6 . 3

C401

100/6.3

C182

100/6.3

C181

100/6.3

C 7 0 7

1 0 0 / 6 . 3

C701

100/6.3

C183

100/6.3

C391

220/6.3

C394

220/6.3

C191

220/16

C592

100/16

C 5 0 4

4 7 / 5 0

C 5 0 3

4 7 / 5 0

C 3 0 1

1 0 0 / 6 . 3

C130

33/35

C712

100/6.3

C591

100/16

C 5 3 3

0 . 4 7 / 5 0

C315

0.47/50

C762

220/6.3

C 9 0 5

1 0 0 / 6 . 3

C131

3.3/50

C101

100/6.3

C102

100/6.3

C336

22/35

C335

22/35

C126

4.7/50

C158

2.2/50

C910

47/35

C909

47/35

C904

220/6.3

C902

3300/16

C903

220/16

C901

3300/16

C908

100/50

C931

223Z

C352 104Z

C 3 3 7
2 2 3 Z

C 3 4 3
1 0 4 Z

C 1 4 3
1 0 1 J

C129

101J

C186

104Z

C185

104Z

C 1 1 0

1 0 2 K

C706 103K

C705

103K

C751

223Z

C702

223Z

C142

104K

C184

104Z

C395

104Z

C392

104Z

C192

104Z

C161

103K

C532

104Z

C 5 0 2

2 2 0 J

C 4 0 4
2 2 3 Z

C 4 0 3
2 2 3 Z

C 4 5 2
2 2 3 Z

C 4 5 1
1 0 1 J

C531

104Z

C534

222K

C711

223Z

*

C 1 5 1
1 0 3 K

C334

681J

C333

681J

C 1 0 7
2 2 3 K

C 1 0 9
2 2 3 K

C 1 0 3
1 0 3 K

C 1 0 4
1 0 3 K

C 1 0 8
4 7 4 Z

C154

103K

C153

103K

C152

333K

C155

222K

C128

103K

C127

104K

C 3 1 2
4 7 3 K

C 3 1 3

1 5 2 K

C316

103K

C123

104K

C124

104K

C122

474Z

C121

222K

C 3 0 5 1 0 4 K

C703

223Z

C 7 2 1
2 2 3 Z

C761

102J

C 5 0 1

2 2 0 J

C341

104Z

C704

223Z

C 1 4 1
1 0 1 J

C 3 3 2

1 5 1 J

C 3 3 1

1 5 1 J

C 3 4 2
1 0 4 Z

C356

150

C357

150

C 3 2 1
1 0 4 Z

C156

220J

C157

020C

C125

101J

C 3 1 4

1 0 1 J

R142

0

R 3 3 2

1 0 K

R331

0

R334

0

R 1 7 6

0

R177

0

R121

100

R125

3.3K

R122

6.8K

R 1 2 4

0

R120

0

R151

10K

R347

0

R341

12K

R173

0

R 1 7 4

0

R179

0

R 1 7 2

0

R 1 7 1

0

R 1 7 5

0

R133

4.7K

R119

0

R 1 5 2
4 . 7 K

R 1 5 3

1 0 K

R 1 3 2

1 0 K

R129

470K

R 3 3 8

1 K

R 3 3 7

1 K

R 1 3 7

1 2 0 K

R 1 3 6

4 7 K

R135

8.2K

R105

120K

R104

120K

R106

47K

R107

47K

R108

47K

R109

47K

R101

47

R 1 0 3

1 K

R102

47

R117

0

R 1 1 6

0

R 1 1 1

1 0 0

R 1 1 2
1 0 0 K

R113

150K

R342

12K

R 3 4 3

1 2 K

R 3 4 6

1 2 K

R 3 4 5

1 2 K

R 3 4 4

1 2 K

R 3 3 3

1 M

R140

4.7K

R139

12K

R138

15K

R141

1M

R131

33K

R130

39K

R128

82K

R126

1M

R322

22K

R 3 2 3
3 . 3 K

R 3 2 7

1 0 0 K

R 3 2 8

1 0 K

R 3 2 5

1 M

R 3 2 4

3 . 3 K

P103B

P103A

JL905A

JL905B

P102B

P102A

M 0 0 5

M 0 0 2

M 0 0 3

FOCUSING

TRACKING

+ 5 V

V r e f

P101B

FC

TR

SLD

PCO

FILO

FILI

CLTV

VC

M D P

E F M

FE2

TE2

TE1

FE1

F O K

G2

P17

P16

P15

P14

P13

P12

P11

P10

P9

P8

P7

P6

P5

P4

P3

P2

P1

-VDISP

FL_OFF

S S T O P

C L K

F L _ O F F

F C -

F C -

X L T

F 2 A

T R -

T R -

D A T

T R +

T R +

C O U T

F C +

F C +

C L K

C L K

S E N S 1 O

P D

P D

X L T

X L T

C L O C K

D A T

D A T

X L A T

- V D I S P

F 1

L D

D A T A

E M P H

F 1

C O U T

C O U T

S E N S 1

F 2

G 3

P 5

F

F

S E N S 1 O

S E N S 1 O

S Q S O

G 4

P 4

C

C

S E N S 2 0

S Q C K

G 5

P 3

B

B

G 6

P 2

A

A

DOUT

G 7

P 1

D

D

G 8

F L _ O F F

E

E

F O K

F O K

G 9

R F O

E F M

SQCK

XLAT

DATA

CLOCK

C L O S E

C L O S E

O P E N

O P E N

MCK

C _ S W

C _ S W

C _ S W

S E N S 2 0

O _ S W

O _ S W

O _ S W

A M U T E

E

F

D

B

C

A

PD

P _ O N / O F F

P _ O N / O F F

R F O

A M U T E

A M U T E

S E N S 1

R I

R I

S Q S O

+ 5 V A

+ 5 V A

S C O R

R I . I N

R M C N

X R S T

R I . O U T

S C O R

C L O C K

C L O C K

X L A T

X L A T

D A T A

D A T A

C L O S E

S E N S 1

O P E N

S Q S O

S Q C K

S Q C K

S C O R

X R S T

X R S T

RCH

LCH

P _ O N / O F F

SENS20

SCOR

SQSO

SENS1

RI

XRST

RI

DOUT

AMUTE

XRST

CLOSE

OPEN

P_ON/OFF

S E N S 2 0

C_SW

O_SW

FC-

FC+

TR+

TR-

TR

FC

S P +

S P -

S L +

AD1

AD0

STBY

S L -

S S T O P

TR+

FC-

TR-

FC+

TR

FC

SLD

SSTOP

MDP

CLOSE

OPEN

C_SW

O_SW

P17

P16

G2

P15

G3

P14

G4

P13

G5

P12

G6

-VDISP

G7

P11

G8

P10

G9

P9

RMCN

P8

STBY

P7

AD1

P6

AD0

P5

F2A

P4

F1

P3

+5VA

P2

P1

TR+

FC-

TR-

FC+

TR

FC

SLD

VC

SSTOP

MDP

CLOSE

OPEN

OPEN_SW

CLOSE_SW

MUTE

P17

P16

G2

P15

G3

P14

G4

P13

G5

P12

G6

-VDISP

G7

P11

G8

P10

G9

P9

RMCN

P8

STBY

P7

AD1

P6

AD0

P5

F2A

P4

F1

P3

P2

P1

M U T E

L D -

R C H

R C H

L D +

L C H

L C H

O P E N _ S W

G N D 7

G N D 3

A D 0

A D 0

A D 0

C L O S E _ S W

G N D 2

+ 5 V B

+ 5 V B

SL-

SL+

SP-

SP+

LD-

LD+

+ 5 V A

+ 5 V A

M D P

P_ON/OFF

SLD

CLOSE

OPEN

R I

A D 1

F1

G9

G8

G7

G6

G5

G4

G3

G2

P17

P16

P15

P14

P13

P12

P11

P10

P9

P8

P7

P6

P5

P4

P3

P2

P1

F2A

-VDISP

+ 5 V

+ 5 V

G N D

G N D 1

P _ O N / O F F

P _ O N / O F F

+ 5 V A

+ 5 V B

+ 5 V B

G N D

G N D 2

G N D

G N D 3

G N D

G N D 4

G N D

G N D 5

- 1 0 V

- 1 0 V

+ 1 0 V

+ 1 0 V

G N D

- V D I S P

F 1

F 2

T Y P E

P 9 0 3

F 9 0 1

M J J , M D T

N S C T - 2 P 1 7 7 7

N O

M G R

N S C T - 2 P 2 5 0 8

Y E S

M P P , M G T

N S C T - 2 P 1 4 2 4

Y E S

T 9 0 1

P 9 0 1

N P T - 1 4 2 6 J

A S - Y

N P T - 1 4 2 6 D

A S - U C 2 # 1 8

N P T - 1 2 5 1 G

A S - C C E E

N P T - 1 2 5 1 P

A S - C E E

N P T - 1 2 5 1 G

A S - C E E

T Y P E

R A T I N G

M J J

A C 1 0 0 V   5 0 / 6 0 H Z

M D T

A C 1 2 0 V   6 0 H Z

M G R

A C 2 2 0 - 2 3 0 V   5 0 / 6 0 H Z

M P P

A C 2 3 0 - 2 4 0 V   5 0 H Z

M G T

A C 2 2 0 - 2 3 0 V   5 0 / 6 0 H Z

T Y P E

M P P

O T H E R

R 9 0 7 5 . 6 ( 1 / 2 W ) 2 . 2 ( 1 / 2 W )

M J J

O T H E R

R 7 3 0

N O

Y E S

C 7 1 1

Y E S

N O

TE2

S C O R

D O U T

XRST

V C

SENS20

M D P

STBY

+ 5 V B

+ 5 V B

V R

L D - G

V C

L D - G

V C

E

D

A

B

C

F

L D

V R

P D

F C +

T R +

T R -

F C -

N A P S - 7 3 2 7

N A D G - 7 3 2 3

A U D I O

O U T

R c h

L c h

N A D I S - 7 3 2 6

F O C U S

G A I N

VC

FE1

FE2

TE1

5

1

1

5

1

6

6

1

N A S W - 7 3 2 9

D I G I T A L

O U T

N A P S - 7 3 2 8

N A A R - 7 3 2 5

N A D G - 7 3 2 4

X R S T

VC

L O A D I N G

M O T O R

C L O S E

O P E N

I N N N E R   S W

M O T O R

S L I D E

M O T O R

S P I N D L E

2

1

1

1 6

R I

1 6

1

N C D - 1 7 0 S

U1

U3

U5

U6

Regulator circuit PCboard

Main circuit PC board

Power transformer 

PC board

Driver circuit PC board

U4

Display circuit PC board

U7

Open/close

switch PC

board

U2

Microprocessor circuit PC board

CD Mechanism

www. xiaoyu163. com

QQ 376315150

9

9

2

8

9

4

2

9

8

TEL 13942296513

9

9

2

8

9

4

2

9

8

0

5

1

5

1

3

6

7

3

Q

Q

TEL  13942296513  QQ  376315150  892498299 

TEL  13942296513  QQ  376315150  892498299 

http://www.xiaoyu163.com

http://www.xiaoyu163.com

Summary of Contents for C-701A

Page 1: ...HAT EXPOSED PARTS ARE ACCEPTABLY INSULATED FROM THE SUPPLY CIRCUIT BEFORE RETURNING THE APPLIANCE TO THE CUSTOMER SERVICE MANUAL SERVICE MANUAL Silver model 230 240V AC 50Hz 120V AC 60Hz 220 230V AC 5...

Page 2: ...LECTROMAGNETIQUE DANGEREUX SI OUVERT AVEC L ECLENCHEMENT DE SECURITE ANNULE 2 Class 1 label MPP MGT MDT MGR 2 Safety check out After correcting the original service problem perform the following safet...

Page 3: ...lder the LD short terminal on mechanism Pick up short land LD Short Terminal 1 Ground for the work desk Place a conductive sheet such as a sheet of copper with impedance lower than 10Mohm on the work...

Page 4: ...0 Hz Dimensions W x H x D 155 x 76 x 283 5 mm MGR model 6 1 8 x 3 x 11 3 16 155 x 76 x 281 5 mm MPP MGT model 6 1 8 x 3 x 11 1 16 Weight 2 0 kg 4 4 lbs Specifications and external appearance are subje...

Page 5: ...901 P701 P101 P701 P901 T901 U1 U2 U3 U4 U5 U6 U7 Z01 J904 A103 A103 MGR only A9 P701 A27 A105 A104 MGR only EXPLODED VIEW www x i a o y u 1 6 3 c o m QQ 3 7 6 3 1 5 1 5 0 9 9 2 8 9 4 2 9 8 TEL 1 3 9...

Page 6: ...5 29362285 Label A107 27262674 Plate WIN1 A108 27262675 Plate WIN1 MPP A201 27212346 Front panel A203 28198939 Facet S A204 27268055 Guide Tray A210 28148497 Door Tray A215 28184825 Cover A216 8389300...

Page 7: ...6 1C MDT 1H477526 1D Display circuit PC board assy NADIS 7326 1D MGR 1H477526 1E Display circuit PC board assy NADIS 7326 1E MPP U5 1H477527 1B Regulator circuit PC board assy NAPS 7327 1B MGT 1H47752...

Page 8: ...5 P2 6x10 Screw Ref No Part No Description 16 2627 234 01 Insulator 17 18 2625 552 06 Main chassis 19 3319 501 51 PTPWH2 6x16 Screw 20 2625 547 01 Drive Gear 21 2625 545 04 Control cam 22 1692 667 11...

Page 9: ...39 678 12 Motor PC board 58 1564 722 11 Socket 59 2627 003 02 Gear B 60 2625 191 01 Coil spring 61 2625 477 01 Center ring 62 2641 386 01 Special screw 2 5 63 2625 625 01 Reinforcement board 64 8848 4...

Page 10: ...4 2 5 4 1 1 9 1 0 1 5 1 6 1 4 4 6 1 8 1 9 4 7 1 7 3 6 3 4 3 5 1 3 2 8 2 7 5 9 3 8 3 7 1 2 5 3 7 1 P R O C E S S O R D I G I T A L S I G N A L Q 3 0 1 C X D 2 5 8 9 Q W D A C O N V E R T E R 1 4 1 3 1...

Page 11: ...3 C 1 3 0 3 3 3 5 C 7 1 2 1 0 0 6 3 C 5 9 1 1 0 0 1 6 C 5 3 3 0 4 7 5 0 C 3 1 5 0 4 7 5 0 C 7 6 2 2 2 0 6 3 C 9 0 5 1 0 0 6 3 C 1 3 1 3 3 5 0 C 1 0 1 1 0 0 6 3 C 1 0 2 1 0 0 6 3 C 3 3 6 2 2 3 5 C 3 3...

Page 12: ...3 0 3 3 3 5 C 7 1 2 1 0 0 6 3 C 5 9 1 1 0 0 1 6 C 5 3 3 0 4 7 5 0 C 3 1 5 0 4 7 5 0 C 7 6 2 2 2 0 6 3 C 9 0 5 1 0 0 6 3 C 1 3 1 3 3 5 0 C 1 0 1 1 0 0 6 3 C 1 0 2 1 0 0 6 3 C 3 3 6 2 2 3 5 C 3 3 5 2 2...

Page 13: ...0 C 3 0 1 1 0 0 6 3 C 1 3 0 3 3 3 5 C 7 1 2 1 0 0 6 3 C 5 9 1 1 0 0 1 6 C 5 3 3 0 4 7 5 0 C 3 1 5 0 4 7 5 0 C 7 6 2 2 2 0 6 3 C 9 0 5 1 0 0 6 3 C 1 3 1 3 3 5 0 C 1 0 1 1 0 0 6 3 C 1 0 2 1 0 0 6 3 C 3...

Page 14: ...AC G AC H F901 P911A JL905B JL912B P903 AC IN BLK WHT MPP MGT 230 240V AC 50Hz MDT 120V AC 60Hz MGR 220 230V AC 50 60Hz CLOSE OPEN C SW GND O SW P ON AMUTE RI 5VA GND GND CLOCK XLAT DATA SENS SQSO SQ...

Page 15: ...712 353721019 100uF 6 3V Elect P913B 25051234 NSCT 9P1024 P914B 25051240 NSCT 15P1030 U3 Motor driver circuit PC board Plugs NAAF 7325 1B 1C 1D 1E P104 P105 25056132 NPLG 2P1071 CIRCUIT NO PART NO DES...

Page 16: ...1C 1D 1E Transistors CIRCUIT NO PART NO DESCRIPTION Q902 Q905 2211504 2SA950 Y Switch Q903 2214480R2 RN1403 S701 25035699 NPS 111 S662 Open Close Diodes D901 D904 22380260 or RL1N4003 or D906 2238003...

Page 17: ...input terminal from signal processing IC 51 O 17 RESET I Reset signal input terminal 52 5V I Power supply terminal 5V 18 RI INPUT I System control signal input terminal 53 OPEN SW I Tray open switch i...

Page 18: ...ol f a h g e r d p n c m b k j 2G 9G ANODE CONNECTION P1 P2 P3 P4 P5 P6 P7 P8 P9 P10 P11 P12 P13 P14 P15 P16 P17 9G a j h k b f g m c e n r p d 8G a j h k b f g m c e n r p d 7G a j h k b f g m c e n...

Page 19: ...and audio oscillator FE1 FE2 VC RF CH 1 CH 2 G G G G G G NADG 7323 R123 Audio Oscillator Oscilloscope Fig 1 Fig 2 CH1 CH2 A B A B 1 1 25 Wave form Fig 2 Adjustment points P105 P104 The driver for adj...

Page 20: ...3191 Instruction manual U3FSI MPP P85 29343192 Instruction manual U3GDSW MPP P86 29343193 Instruction manual CT P87 29343203 Instruction manual CS MGR REF NO PART NO DESCRIPTION MDT MGR MGT MPP Europe...

Page 21: ...e ONKYO CHINA LIMITED Units 2102 2107 Metroplaza Tower I 223 Hing Fong Road Kwai Chung N T HONG KONG Tel 852 2429 3118 Fax 852 2428 9039 Sales Product Planning Div 2 1 Nisshin cho Neyagawa shi OSAKA 5...

Reviews: