background image

Automation

p/n YPM08119

ACR8000
Hardware Manual

Effective: October 7, 2002

Artisan Technology Group - Quality Instrumentation ... Guaranteed | (888) 88-SOURCE | www.artisantg.com

Summary of Contents for ACR8000

Page 1: ...cess underutilized and idle equipment along with credit for buybacks and trade ins Custom engineering so your equipment works exactly as you specify Critical and expedited services Leasing Rentals Dem...

Page 2: ...Automation p n YPM08119 ACR8000 Hardware Manual Effective October 7 2002 Artisan Technology Group Quality Instrumentation Guaranteed 888 88 SOURCE www artisantg com...

Page 3: ...This page intentionally left blank Artisan Technology Group Quality Instrumentation Guaranteed 888 88 SOURCE www artisantg com...

Page 4: ...laneous Added miscellaneous blank page comments ACR8000 Hardware Manual P N PM08119 Version Change From Version 1 00 To Version 1 01 Dated 5 20 98 1 Page 31 ACR8000 Specification Change the DAC Steppe...

Page 5: ...This page intentionally left blank Artisan Technology Group Quality Instrumentation Guaranteed 888 88 SOURCE www artisantg com...

Page 6: ...al Input Output 16 Communications 18 Isolated Power 20 Standalone Power 21 Daughterboard 22 Jumpers 22 EPROM Size Select 22 Factory Test 23 Battery Backup Select 24 Connectors 25 DSP Network 25 Factor...

Page 7: ...O connector 15 1 8 Digital I O connectors 17 1 9 Communications connector 19 1 10 Isolated power connector 20 1 11 Isolated power fuse 20 1 12 Standalone power connector 21 1 13 Standalone power fuse...

Page 8: ...ower connector 20 1 10 Standalone power connector 21 1 11 EPROM size jumpers 22 1 12 Factory test jumper 23 1 13 Battery backup jumpers 24 1 14 DSP network connector 25 1 15 Factory test connector 26...

Page 9: ...iv ACR8000 Hardware Manual P N PM08119 Version 1 00 This page intentionally left blank Artisan Technology Group Quality Instrumentation Guaranteed 888 88 SOURCE www artisantg com...

Page 10: ...ardware to read up to eight incremental encoders and supply precision 16 bit analog for eight servo amplifiers It is modular in nature and is offered in 2 4 6 or 8 axis configurations This board will...

Page 11: ...2 ACR8000 Hardware Manual P N PM08119 Version 1 00 This page intentionally left blank Artisan Technology Group Quality Instrumentation Guaranteed 888 88 SOURCE www artisantg com...

Page 12: ...Chapter 1 Hardware Installation 3 CHAPTER 1 Hardware Installation Artisan Technology Group Quality Instrumentation Guaranteed 888 88 SOURCE www artisantg com...

Page 13: ...4 ACR8000 Hardware Manual P N PM08119 Version 1 00 This page intentionally left blank Artisan Technology Group Quality Instrumentation Guaranteed 888 88 SOURCE www artisantg com...

Page 14: ...hese jumpers correctly may cause damage to the encoders or to the receivers on the controller card There are no analog adjustment pots on the board All analog gain and offset is under software control...

Page 15: ...to 512 byte First In First Out FIFO hardware buffers The status port is used to see if data is waiting to be received from the card and if it is OK to send data to the card The byte read from the sta...

Page 16: ...are reserved and should be left in the OFF position Card SW1 Settings Addresses Number 6 7 8 Data Status 0 OFF OFF OFF 0x300 0x302 1 OFF OFF ON 0x304 0x306 2 OFF ON OFF 0x308 0x30A 3 OFF ON ON 0x30C...

Page 17: ...ers In addition to the above jumper settings the proper hardware option for RS 232 or RS 422 communications must be purchased and installed The serial communication ports have an automatic baud rate d...

Page 18: ...nce printer code seldom uses interrupts and use of the secondary printer port LPT2 is even rarer Level JS3 Common Function Disabled 1 3 Not Applicable IRQ3 1 2 Secondary Serial COM2 IRQ4 3 4 Primary S...

Page 19: ...match the encoder type as described below Open Collector Encoders When using open collector encoders the encoder channels must be pulled to either 5 or 12 volts depending on the application Pulling up...

Page 20: ...sistor pack can be replaced with an 8 pin isolated resistor pack to supply termination resistance for the balanced signal pairs Pull up selection The following table lists the pull up jumper settings...

Page 21: ...rdware Manual P N PM08119 Version 1 00 Motherboard Connectors Encoder Inputs P1A P1B Figure 1 5 Encoder input connectors Artisan Technology Group Quality Instrumentation Guaranteed 888 88 SOURCE www a...

Page 22: ...CHA5 9 10 CHA5 CHB1 11 12 CHB1 CHB5 11 12 CHB5 MRK1 13 14 MRK1 MRK5 13 14 MRK5 VCC 15 16 GND VCC 15 16 GND CHA2 17 18 CHA2 CHA6 17 18 CHA6 CHB2 19 20 CHB2 CHB6 19 20 CHB6 MRK2 21 22 MRK2 MRK6 21 22 MR...

Page 23: ...Hardware Manual P N PM08119 Version 1 00 Motherboard Connectors Analog Input Output P2 Figure 1 6 Analog I O connector Artisan Technology Group Quality Instrumentation Guaranteed 888 88 SOURCE www art...

Page 24: ...2 ASIG 3 STEP 3 4 23 AGND 3 DIR 3 ASIG 4 STEP 4 5 24 AGND 4 DIR 4 ASIG 5 STEP 5 6 25 AGND 5 DIR 5 Module 1 ASIG 6 STEP 6 7 26 AGND 6 DIR 6 ASIG 7 STEP 7 8 27 AGND 7 DIR 7 AIN 0 9 28 AIN 1 AIN 2 10 29...

Page 25: ...dware Manual P N PM08119 Version 1 00 Motherboard Connectors Digital Input Output P3 P4 Figure 1 7 Digital I O connectors Artisan Technology Group Quality Instrumentation Guaranteed 888 88 SOURCE www...

Page 26: ...UT 43 INP 10 11 12 INP 11 OUT 44 13 14 OUT 45 INP 12 13 14 INP 13 OUT 46 15 16 OUT 47 INP 14 15 16 INP 15 OUT 48 17 18 OUT 49 INP 16 17 18 INP 17 OUT 50 19 20 OUT 51 INP 18 19 20 INP 19 OUT 52 21 22 O...

Page 27: ...Hardware Manual P N PM08119 Version 1 00 Motherboard Connectors Communications P5 Figure 1 8 Communications connector Artisan Technology Group Quality Instrumentation Guaranteed 888 88 SOURCE www art...

Page 28: ...3 4 MUX1 TXD1A 5 6 TXD1B RXD1A 7 8 RXD1B RXD2 9 10 TXD2 GND 11 12 MUX2 TXD2A 13 14 TXD2B RXD2A 15 16 RXD2B STB 17 18 AFD ERR 19 20 INIT SLIN 21 22 GND PD0 23 24 PD1 PD2 25 26 PD3 PD4 27 28 PD5 PD6 29...

Page 29: ...or Note PWR1 is a male 4 pin Weidm ller plug Pin Description 1 External GND 2 External GND 3 External 24v 4 External 24v Table 1 10 Isolated power connector Fuse Circuit Amps Microfuse Part F4 24v 3 2...

Page 30: ...eidm ller plug Pin Description 1 Standalone GND 2 Standalone 5V 3 Standalone 12V 4 Standalone 12V Table 1 12 Standalone power connector Fuse Circuit Amps Microfuse Part F1 5v 4 273004 F2 12v 1 8 273 1...

Page 31: ...ctory to match the EPROM size required for the current firmware version These jumpers should not be set by the user Memory Atmel JS1 JS2 64k x 32 27C1024 1 2 1 2 128k x 32 27C2048 1 2 1 2 256k x 32 27...

Page 32: ...ers Factory Test JS3 Figure 1 12 Factory test jumper This jumper is for factory testing purposes only and should be left in the 1 2 position for normal operation Artisan Technology Group Quality Instr...

Page 33: ...two jumpers determine if the battery pack on the ACR8000 daughterboard is enabled or disabled When enabled user programs on the board are retained when power is removed Battery JS4 JS5 Disabled 1 2 1...

Page 34: ...4 DSP network connector Note P1 is a 10 pin shrouded male header P1 Usage Pin Pin Usage CLOCK 1 2 CLOCK DATA 3 4 DATA FRAME 5 6 FRAME N C 7 8 N C SYNC 9 10 SYNC Table 1 16 DSP network connector Artisa...

Page 35: ...ure 1 15 Factory test connector Note P2 is a 12 pin keyed male header P2 Usage Pin Pin Usage EMU1 1 2 GND EMU0 3 4 GND EMU2 5 6 GND VCC 7 8 KEY EMU3 9 10 GND H3 11 12 GND Table 1 17 Factory test conne...

Page 36: ...6 ACR1000 master connector Note P7 is a 10 pin shrouded male header P7 Usage Pin Pin Usage GND 1 2 SLRDY GND 3 4 MSTRT GND 5 6 SLACK SLINT 7 8 N C GND 9 10 N C Table 1 18 ACR1000 master connector Arti...

Page 37: ...28 ACR8000 Hardware Manual P N PM08119 Version 1 00 This page intentionally left blank Artisan Technology Group Quality Instrumentation Guaranteed 888 88 SOURCE www artisantg com...

Page 38: ...Chapter 2 ACR8000 Expansion I O Board 29 CHAPTER 2 ACR8000 Expansion I O Board Optional Artisan Technology Group Quality Instrumentation Guaranteed 888 88 SOURCE www artisantg com...

Page 39: ...for the I O expansion cards J1 Address Select 0 J2 Address Select 1 J3 Watchdog Select AP1 Input Connector same pinout as Motherboard Digital Input Connector P4 AP2 Output Connector same pinout as Mot...

Page 40: ...Chapter 3 ACR8000 Specification 31 CHAPTER 3 ACR8000 SPECIFICATION Artisan Technology Group Quality Instrumentation Guaranteed 888 88 SOURCE www artisantg com...

Page 41: ...Stepper Outputs etc External I O Power Supply Requirements 24 VDC 3 6VDC 4A Encoder Inputs Up to 8 per card Differential Quadrature Encoder Open Collector or Line Driver 0 1 Hz to 8 MHz Frequency Rang...

Page 42: ...lated standard Open Collector Sinking Type Digital Inputs 24VDC Activates on 10mA per input 32 Optically Isolated standard Sinking Type A D Inputs Up to 8 single ended or up to 4 differential 12 bit r...

Page 43: ...34 ACR8000 Hardware Manual P N PM08119 Version 1 00 This page intentionally left blank Artisan Technology Group Quality Instrumentation Guaranteed 888 88 SOURCE www artisantg com...

Page 44: ...Chapter 4 ACR8000 Mechanical Drawings 35 CHAPTER 4 ACR8000 MECHANICAL DRAWINGS Artisan Technology Group Quality Instrumentation Guaranteed 888 88 SOURCE www artisantg com...

Page 45: ...Manual P N PM08119 Version 1 00 ACR8000 Motherboard Mechanical Dimensions Figure 1 17 ACR8000 Motherboard Mechanical Dimensions Artisan Technology Group Quality Instrumentation Guaranteed 888 88 SOURC...

Page 46: ...0 Mechanical Drawings 37 ACR8000 Daughterboard Mechanical Dimensions Figure 1 18 ACR8000 Daughterboard Mechanical Dimensions Artisan Technology Group Quality Instrumentation Guaranteed 888 88 SOURCE w...

Page 47: ...Hardware Manual P N PM08119 Version 1 00 ACR8000 Stacking Mechanical Dimensions Figure 1 19 ACR8000 Stacking Dimensions Artisan Technology Group Quality Instrumentation Guaranteed 888 88 SOURCE www ar...

Page 48: ...typical connection and wiring diagrams for the ACR8000 board For electronic media refer to the typical connection drawing file ACR8000 TYPICAL CONNECTIONS PDF supplied separately on the AMCS CD P N C...

Page 49: ...uipment Have surplus equipment taking up shelf space We ll give it a new home Learn more Visit us at artisantg com for more info on price quotes drivers technical specifications manuals and documentat...

Reviews: